071e13e stripping last space off end of tc_name

Authored and Committed by spoore 5 years ago
    stripping last space off end of tc_name
    
    tc_name in some cases was getting a space added to the end when no
    params were set.  This caused test case names to end in space which
    was incorrect.
    
    Signed-off-by: Scott Poore <spoore@redhat.com>
    
        
file modified
+1 -1
file modified
+1 -1